Advanced Energy to Introduce Three New Process Power Products During SEMICON West 2020 | Be Korea-savvy

Advanced Energy to Introduce Three New Process Power Products During SEMICON West 2020


(image: Advanced Energy)

(image: Advanced Energy)

press-release-notification

DENVER, COLORADO, Aug. 3 (Korea Bizwire) - Advanced Energy (Nasdaq: AEIS) – a global leader in highly engineered, precision power conversion, measurement and control solutions – today announced it unveiled three new solutions for advanced technology node semiconductor wafer processing.

“Semiconductor manufacturing is growing and rapidly transforming with the rise of the 4th Industrial Revolution. AE is at the forefront with power solutions that enable smaller features, faster processes, tighter control and lower cost of ownership, which our customers rely on to make the chips that fuel the data economy,” said Peter Gillespie, vice president and general manager, semiconductor, Advanced Energy. “Formerly almost hidden from view, process power is now increasingly recognized as a critical enabler in wafer processing. We look forward to sharing our products that ‘power the process’ as well as exhibiting, for the first time, products that ‘power the platform’ with AE’s Artesyn Embedded Power portfolio of offerings.”

AE introduces valuable new system solutions that change how plasma processes are powered:

eVoS™ LE: AE introduces its eVoS platform, a wholly new non-sinusoidal plasma power technology that enables tightly targeted ion energy control, which is increasingly important for advanced etch and deposition processes used to create leading-edge device features at 5nm and below. Providing an alternative to conventional sinusoidal RF bias plasma power that uses complex multi-frequency systems, eVoS is designed to produce customized narrow ion energy distributions in a singular solution. AE’s solution enables more direct control of ion energy distributions, better bias power efficiency and less power loss than conventional solutions, all benefits necessary to create increasingly challenging 3D IC features. eVoS LE (Low Energy) offers precise power control for critical plasma-based applications, such as atomic layer etch (ALE), etch, clean, deposition and atomic layer deposition (ALD).

Navigator® II FCi: Building on the success of its industry-leading Navigator II impedance matching network platform, AE introduces Navigator II FCi, a new, faster solid-state matching network that improves value, speed and tune range compared to standard matching network products. Based on high speed PIN-diode technology, Navigator II FCi complements AE’s first-to-market Navigator II FastCap™ solid-state match, broadening the power and impedance range and improving response times to sub-milliseconds. With no moving parts, the Navigator II FCi’s reliability and reproducibility exceed that of traditional vacuum capacitor matches. The Navigator II FCi is available to enable advanced ALE applications with capabilities extended to support an ever-broadening range of applications to meet new market requirements. The Navigator II FCi high-speed PIN-diode technology is also now available in the novel integrated match and generator RF power delivery system, Paramount HFi.   

Paramount® HFi: The benefits of a high performance, low cost integrated RF power system come in Paramount HFi’s small footprint, meeting the stringent requirements of today’s most advanced deposition tools. AE developed the industry’s first commercially viable, fully integrated generator and match delivery system in 2000 with its Apex product. Apex remains a deposition RF power workhorse, capable of switching between four discrete tune range positions. The new Paramount HFi substantially extends this concept with 32 tune range positions, an upgraded fully digital control system and a new VI (RF metrology) sensor. With these new capabilities and its widened operating window, the Paramount HFi provides advanced process control, high repeatability, a common exciter mode to synchronize multiple systems in cluster configurations and advanced communication protocol (EtherCAT). The Paramount HFi’s compact size, millisecond response times and high reliability make it ideal for today’s short duration deposition process steps, and where speed and reliability are increasingly viewed as critical enablers in processing advanced NAND and other high repetition stack devices.

For detailed technical specifications, visit www.advancedenergy.com.

About Advanced Energy

Advanced Energy (Nasdaq: AEIS) is a global leader in the design and manufacturing of highly engineered, precision power conversion, measurement and control solutions for mission-critical applications and processes. AE’s power solutions enable customer innovation in complex applications for a wide range of industries including semiconductor equipment, industrial, manufacturing, telecommunications, data center computing and healthcare. With engineering know-how and responsive service and support around the globe, the company builds collaborative partnerships to meet technology advances, propel growth for its customers and innovate the future of power. Advanced Energy has devoted more than three decades to perfecting power for its global customers and is headquartered in Denver, Colorado, USA. For more information, visit www.advancedenergy.com.  

Advanced Energy | Precision. Power. Performance.

For press inquiries, contact:

Lora Wilson
Global Results Communications for Advanced Energy Industries, Inc.
aei@globalresultspr.com
+1 949.306.0276

Source: Advanced Energy via Media OutReach

press release curation and disclaimer notice

Leave a Reply

Your email address will not be published. Required fields are marked *

You may use these HTML tags and attributes: <a href="" title=""> <abbr title=""> <acronym title=""> <b> <blockquote cite=""> <cite> <code> <del datetime=""> <em> <i> <q cite=""> <strike> <strong>