Lam Research Enables Next-Generation Memory with Industry's First ALD Process for Low-Fluorine Tungsten Fill | Be Korea-savvy

Lam Research Enables Next-Generation Memory with Industry’s First ALD Process for Low-Fluorine Tungsten Fill


(image: Korea Bizwire)

(image: Korea Bizwire)

press-release-notification

FREMONT, CA, Aug. 9 (Korea Bizwire) – Lam Research Corp. (NASDAQ: LRCX), an advanced manufacturer of semiconductor equipment, today introduced an atomic layer deposition (ALD) process for depositing low-fluorine-content tungsten films, the latest addition to its industry-leading ALTUS® family of products. With the industry’s first low-fluorine tungsten (LFW) ALD process, the ALTUS Max E Series addresses memory chipmakers’ key challenges and enables the continued scaling of 3D NAND and DRAM devices. Building on Lam’s market-leading product portfolio for memory applications, the new system is gaining market traction worldwide, winning production positions at leading 3D NAND and DRAM manufacturers and placement at multiple R&D sites.

“Consumer demand for ever more powerful devices is driving the need for high-capacity, high-performance storage, and deposition and etch are key process technology enablers of advanced memory chips,” said Tim Archer, Lam’s chief operating officer. “With the addition of the ALTUS Max E Series, we are expanding our memory portfolio and enabling our customers to capitalize on this next wave of industry drivers. Over the past twelve months, as the 3D NAND inflection has accelerated, we have doubled our shipments for these applications, leading to the largest deposition and etch installed base in our 3D NAND served markets.”

As manufacturers increase the number of memory cell layers for 3D NAND, two issues have become apparent for tungsten deposition in the word line fill application. First, fluorine diffusion from the tungsten film into the dielectrics can cause physical defects. Second, higher cumulative stress in devices with more than 48 pairs has resulted in excessive bowing. The resulting defects and stress can cause yield loss, as well as degraded electrical performance and device reliability. Because of these issues, tungsten films for advanced 3D NAND devices must have significantly reduced fluorine and intrinsic stress. Further, as critical dimensions shrink, resistance scaling becomes more challenging for the DRAM buried word line, as well as for metal gate/metal contact applications in logic devices.

“As memory chip manufacturers move to smaller nodes, the features that need to be filled are increasingly narrow and have higher aspect ratios,” said Sesha Varadarajan, group vice president, Deposition Product Group. “Lam’s new LFW ALD solution uses a controlled surface reaction to tune stress and fluorine levels and to lower resistance, all while delivering the required tungsten fill performance and productivity. When compared to chemical vapor deposition tungsten, the ALTUS Max E Series lowers fluorine content by up to 100x, lowers stress by up to 10x, and reduces resistivity by over 30%, solving some of our customers’ most critical scaling and integration challenges.”

The ALTUS Max E Series with LFW ALD technology offers a unique all-ALD deposition process that leverages Lam’s PNL® (Pulsed Nucleation Layer) technology, which is the industry benchmark for tungsten ALD with 15 years of market leadership and more than 1,000 modules in production. Lam led the transition of chemical vapor deposition (CVD) tungsten nucleation to ALD tungsten nucleation with its PNL technology. The company continued that leadership by advancing low-resistivity tungsten solutions with its products ALTUS® Max with PNLxT™, ALTUS®Max with LRWxT™, and ALTUS® Max ExtremeFill™ for enhanced fill performance.

The ALTUS products use Lam’s quad-station module (QSM) architecture to allow per-station optimization of tungsten nucleation and fill for fluorine, stress, and resistance without compromising fill performance since station temperature can be set independently. The QSM configuration also maximizes productivity of the all-ALD process by providing up to 12 pedestals per system, enabling the highest footprint productivity in the industry.

About Lam Research

Lam Research Corp. (NASDAQ: LRCX) is a trusted global supplier of innovative wafer fabrication equipment and services to the semiconductor industry. Lam’s broad portfolio of market-leading deposition, etch, strip, and wafer cleaning solutions helps customers achieve success on the wafer by enabling device features that are 1,000 times smaller than a grain of sand, resulting in smaller, faster, and more power-efficient chips. Through collaboration, continuous innovation, and delivering on commitments, Lam is transforming atomic-scale engineering and enabling its customers to shape the future of technology. Based in Fremont, Calif., Lam Research is a NASDAQ-100 Index® and S&P 500® company whose common stock trades on the NASDAQ® Global Select Market™ under the symbol LRCX. For more information, please visit http://www.lamresearch.com. (LRCX-P)

Caution Regarding Forward-Looking Statements

Statements made in this press release that are not of historical fact are forward-looking statements and are subject to the safe harbor provisions of the Private Securities Litigation Reform Act of 1995. Such forward-looking statements relate to, but are not limited to, statements concerning the challenges faced by memory chipmakers, the challenges for scaling 3D NAND, logic and DRAM, the need to use reduced fluorine and intrinsic stress to address word line fill application issues, the ability of Lam’s products to meet customer challenges successfully, the performance of the ALTUS Max E Series compared to the CVD products, and the market success or market share gains of Lam’s products or technology. Some important factors that may affect these forward-looking statements include advances in technology, new products and services offered by Lam’s competitors, known and unknown barriers to technical progress and the financial condition of semiconductor manufacturers and the economy as a whole. Such forward-looking statements are based on current beliefs and expectations and are subject to risks, uncertainties and changes in condition, significance, value and effect, including those discussed in Lam’s annual report on Form 10-K under the heading “Risk Factors” as well as in other documents filed by Lam with the Securities and Exchange Commission. Such risks, uncertainties and changes in condition, significance, value and effect could cause actual results to differ materially from those expressed herein and in ways not readily foreseeable. Readers are cautioned not to place undue reliance on these forward-looking statements, which speak only as of the dates made and of information reasonably known to Lam as of the dates the statements were made. We undertake no obligation to release the results of any revisions to these forward-looking statements which may be made to reflect events or circumstances which occur after the date hereof or to reflect the occurrence or effect of anticipated or unanticipated events.

Company Contacts:
Satya Kumar
Investor Relations
Phone: 510-572-1615
Email: investor.relations@lamresearch.com

Lam Research General Inquiries
Kyra Whitten
Phone: 510-572-5241
Email: Kyra.Whitten@lamresearch.com

Kerry Farrell
Phone: 510-572-3175
Email: Kerry.Farrell@lamresearch.com

Lam Research Asia Inquiries
Hui-Yun Chen
Phone: +65 6347-6819
Email: Hui-Yun.Chen@lamresearch.com

 

Source: Lam Research Corporation via Marketwired

press release curation and disclaimer notice

Leave a Reply

Your email address will not be published. Required fields are marked *

You may use these HTML tags and attributes: <a href="" title=""> <abbr title=""> <acronym title=""> <b> <blockquote cite=""> <cite> <code> <del datetime=""> <em> <i> <q cite=""> <strike> <strong>